3 Technical, Operations & Engineering jobs in Hsinchu/ Taipei
Hot job Lead FAE, APAC
Taipei, Taiwan
Reference: GC861611
Posted on: 5/24/2024
Job type: Permanent
We’re seeking a regional FAE leader (APAC) for a multinational semiconductor company to be based in Taipei. This role will be responsible for leading the company’s Field Application Engineer team in Asia Pacific to support clients in the region. Fluent English speaking and solid knowledge of high-speed SerDes is r...
New Taipei City, Taiwan
Reference: GC862061
Posted on: 6/7/2024
Job type: Permanent
Design and optimization of cooling solutions for servers and storage systems Conduct CFD analysis to evaluate thermal and flow solutions Create 2D/3D drawings, produce samples, and conduct performance testing Prepare project analysis reports Research cooling technologies Requirements: - 3...
Taipei, Taiwan
Reference: GC860033
Posted on: 5/27/2024
Job type: Permanent
工作內容 : Physical design, including floorplan, power plan, physical synthesis, clock tree synthesis, routing, DRC/LVS to tapeout. 需求條件 : 1.Familiar with Synopsys (ICC2 or FC & PrimeTime)/Cadence (Innovus & Tempus) 2.Perform netlist-to-GDSII design flow, including floorplanning, power grids, clock tree synt...