12 半導體 職務 台灣
Hsinchu, 台灣
參考編號: GC864310
發佈於: 2024/9/20
職務類別: Permanent
We’re seeking a senior leader of Product Engineering (PE) for a leading semiconductor fabless company to be based in Hsinchu Science Park. This role will report to the Senior Director of Product & Test Engineering of the company and manage the company’s analog and mix-signal product lines. BCD/HV experience is hig...
Hsinchu, 台灣
參考編號: GC863293
發佈於: 2024/9/11
職務類別: Permanent
Key Responsibilities Provide first-line customer-facing post-sales support for Ethernet and PCIe SerDes IPs. Work closely with R&D and provide updates to the Project Manager when required. Offer remote and onsite post-sales silicon bring-up support to customers worldwide. Develop and maintain...
Homebased/teleworking job
參考編號: GC862732
發佈於: 2024/9/3
職務類別: Permanent
Required Experience: Demonstrable expertise in high-speed signal circuit design, modeling, validation, and debugging. Experience managing PCB manufacturing, layout, and population suppliers for complex high-speed signal designs. Detailed knowledge of signal and power integrity design, layo...
Taipei, 台灣
參考編號: GC863839
發佈於: 2024/8/29
職務類別: Permanent
Our client is one of Top 3 Global Semiconductor IC/Foundry company. We are looking for some FAE function, incluidng SSD FAE and Memory FAE in Taiwan. Position: Memory FAE Location: Taipei, Taiwan 【What you Bring】 - Familiar with NAND structure and operation in datasheet (Command sequence/AC ti...
HsinChu, 台灣
參考編號: GC863721
發佈於: 2024/8/22
職務類別: Permanent
Our client is one worldwide famous IC Design house in Taiwan Position: Technical Marketing Manager Location: HsinChu 【Key Responsibilities】 • Collaborate with engineering teams to develop product line strategy and in charge of key product feature definition to win. • Conduct market research and ...
Taipei, 台灣
參考編號: GC860033
發佈於: 2024/8/20
職務類別: Permanent
工作內容 : Physical design, including floorplan, power plan, physical synthesis, clock tree synthesis, routing, DRC/LVS to tapeout. 需求條件 : 1.Familiar with Synopsys (ICC2 or FC & PrimeTime)/Cadence (Innovus & Tempus) 2.Perform netlist-to-GDSII design flow, including floorplanning, power grids, clock tree synt...
Hsin-Chu, 台灣
參考編號: GC863571
發佈於: 2024/8/12
職務類別: Permanent
Mandarin speaking required - We’re looking for a Senior Technical Director, Lithography Process for one of the largest semiconductors MNCs. This is a newly created role to be based in Taiwan that requires very solid knowledge in Litho/Lithography process and sophisticated pre-sale, client-facing skills. Re...
HsinChu, 台灣
參考編號: GC862915
發佈於: 2024/7/11
職務類別: Permanent
Our client is a famous Specialty Gas client in worldwide. Title: Key Account Sales Location: HsinChu Key Account: TSMC Job Requirement: 1. Experienced in Specialty Gas or related material in Semiconductor 2. Familiar with TSMC Account 3: Fluent in English or Japanese communication skill 4...
Taipei, 台灣
參考編號: GC860780
發佈於: 2024/4/22
職務類別: Permanent
Position: USB-C Sr. Firmware Engineer or Firmware Engineer Location: Taipei and Hybrid [Job Description]: • USB Type-C、PD相關的嵌入式控制器固件開發 • 與硬體工程師一起進行系統硬體設計 • 在產品與測試單元之間進行USB Type-C、PD相關的分析和除錯 • 參加USB-IF的USB Type-C相關工作組,以熟悉核心技術 • 為產品經理支援產品開發計劃(時間表、會議設計輸入、驗證) [Job Requirement: • 至少5年USB Typ...
Hsinchu, 台灣
參考編號: GC860300
發佈於: 2024/4/3
職務類別: Permanent
工作內容 1. Familiar NAND Flash firmware. 2. Familiar NAND FTL/CTL algorithm. 3. SSD White-box Test. 其他條件 1. Familiar with NAND flash algorithm & file system management. 2. Strong analytical & abstract thinking ability as well as technical communication skills.